[단독] Está à venda “versão coreana do Super Eul”… HPSP que também baba na Samsung e SK Hynix

HPSP, empresa de embalagens de semicondutores, está à venda

Capitalização de mercado 3,6159 trilhões de won… KOSDAC ocupa o 8º lugar

Uma “empresa real” com lucros operacionais 10x em 5 anos

Crescendo nasceu aos 10 bilhões há 7 anos

Retorno de investimento recorde esperado de até 2 trilhões de KRW

“O maior peixe grande do primeiro semestre deste ano foi colocado à venda.”

A HPSP, uma empresa fabricante de semicondutores chamada “versão coreana do ASML”, está à venda. Como a oitava maior empresa KOSDAQ, com uma capitalização de mercado de KRW 3,6159 biliões (com base no preço de fecho do 15º dia), está à venda, espera-se que seja um alívio bem-vindo para o mercado local de fusões e aquisições, que tem estado estagnado. . Nos últimos dois anos.

A HPSP é uma empresa que fabrica e fornece equipamentos de processo de tratamento térmico (recozimento) necessários para todo o processo de semicondutores. À medida que os processos semicondutores se tornam mais precisos, defeitos interfaciais aparecem na superfície dos chips semicondutores, e a HPSP fornece equipamento de recozimento que os desativa. A HPSP monopoliza o mercado de equipamentos de recozimento de hidrogênio de alta pressão.

As vendas, que atingiram 25,1 bilhões de won em 2019, aumentaram verticalmente para 179,1 bilhões de won em 2023, quatro anos depois. Os lucros operacionais também aumentaram quase dez vezes, de 9,9 mil milhões de won em 2019 para 95,2 mil milhões de won no ano passado.

O índice de lucro operacional da HPSP no ano passado foi de 53%. A HPSP é uma valiosa empresa de dispositivos e equipamentos na indústria de semicondutores. É também chamada de “versão coreana do ASML” em comparação com o ASML holandês, que detém o monopólio dos equipamentos de exposição de semicondutores e é chamado de “Super Eul” na indústria.

READ  A Bolsa de Valores de Nova York ficou satisfeita com as observações de Powell... Será que vai parar em uma recuperação brilhante?

De acordo com o setor de banco de investimento (IB), no dia 15 deste mês, a Crescendo Equity Partners (doravante denominada Crescendo) começou a selecionar um gestor líder entre os principais IBs globais para a venda da HPSP.

Crescendo, a parte vendedora, adquiriu a HPSP em 2017, gastando cerca de 10 bilhões de won por meio da “Presto Private Equity Partnership No. 6 (doravante denominado Fundo No. 6)”. Naquela altura, o Fundo n.º 6 tinha uma participação de 51% na HPSP, mas em julho de 2022, quando a HPSP foi cotada no mercado KOSDAQ e o capital livre foi levantado, a participação caiu para 39%.

Acredita-se que a decisão de vender da Crescendo se deve ao fato de ter visto o momento certo para obter lucro. Devido ao recente boom de semicondutores, o preço das ações da HPSP mais que dobrou em um ano. Ainda há tempo para o fundo amadurecer, mas como o período de detenção é superior a 7 anos, presume-se que a venda começou na época do boom dos semicondutores.

Se a venda for bem sucedida desta vez, espera-se um enorme lucro sem precedentes na indústria nacional de polietileno.

De acordo com a indústria, Crescendo adquiriu a HPSP levantando recursos de certas sociedades anônimas (investidores institucionais) sem empréstimos (financiamento de aquisição) no momento da aquisição em 2017. JLC Partners, 100% de propriedade do presidente Lee Jun-ho, que fundou a NHN, e duas subsidiárias do Grupo HB (HB Solution e HB Technology) são os principais parceiros limitados. “Se esta venda for concretizada, obteremos um lucro de 1 bilião de dólares ao investir 10 mil milhões de won”, explicou um responsável da indústria, acrescentando: “Será o maior retorno na história dos fundos de private equity nacionais”.

READ  '운전면허증, 이제 스마트폰 속으로'…블록체인 기술 적용

O mercado espera que investidores financeiros, como empresas de semicondutores nacionais e estrangeiras, incluindo Samsung Electronics e SK Hynix, e fundos globais de private equity (PEFs) estejam interessados.

O HPSP originou-se da equipe de negócios de equipamentos da Poongsan Microtech (PSMC), uma subsidiária da Poongsan Corporation. Em 2009, entregamos pela primeira vez o “Geni”, um equipamento de recozimento beta de hidrogênio de alta pressão, para um cliente de semicondutores nos EUA.

No entanto, o proprietário mudou quando o Grupo Poongsan o vendeu ao fundo de private equity Crescendo em 2017. Crescendo é uma empresa de gestão de PEF criada através do patrocínio do presidente Peter Thiel, conhecido como o “Padrinho do PayPal”.

No entanto, alguns analistas afirmam que o preço do HPSP é bastante caro. “Há uma percepção no mercado de que algumas ações de semicondutores estão sobrevalorizadas”, disse outro responsável da indústria do IB, “e no caso da HPSP, pode ser difícil concluir o negócio se o preço do resgate não for reduzido”.

No mercado, considerando que o preço das ações quase duplicou no ano passado, alguns argumentam que é correto vender com base no valor de mercado atual (cerca de 3,6159 biliões de won) em vez de adicionar um prémio pelos direitos de gestão.

Deixe um comentário

O seu endereço de email não será publicado. Campos obrigatórios marcados com *